Publications
- ACM/IEEE Journal Papers:
- Y.-W. Chang, D. F. Wong, and C. K. Wong, ``Universal switch modules for FPGA design,'' ACM Trans. on Design Automation of Electronic Systems (TODAES), Vol. 1, No. 1, pp. 80--101, January 1996.
See an implementation report for our universal switch modules from Dept. of EECS, Univ. of California at Berkeley. - S. Thakur, Y.-W. Chang, D. F. Wong, and S. Muthukrishnan, ``Algorithms for an FPGA switch module routing problem with application to global routing,'' IEEE Trans. on Computer-Aided Design (TCAD), Vol. 16, No. 1, pp. 32--47, January 1997.
- G.-M. Wu and Y.-W. Chang, "Quasi-universal switch matrices for FPD design," in IEEE Trans. on Computers (TC), Vol. 48, No. 10, pp. 1107-1122, Oct. 1999.
- M. Shyu, Y.-D. Chang, G.-M. Wu, and Y.-W. Chang, "Generic universal switch blocks," in IEEE Trans. on Computers (TC), vol. 49, no. 4, pp. 348--359, April 2000.
- Y.-W. Chang, K. Zhu, and D. F. Wong, ``Timing-driven routing for symmetrical-array-based FPGAs,'' ACM Trans. on Design Automation of Electronic Systems, Vol. 5, No. 3, pp. 433--450, July 2000.
- H.-R. Jiang, Y.-W. Chang, and J.-Y. Jou, "Crosstalk-driven interconnect optimization by simultaneous gate and wire sizing," IEEE Trans. on Computer-Aided Design, Vol. 19, No. 9, pp. 999--1010, September 2000.
- Y.-W. Chang, J.-M. Lin, and D. F. Wong, "A matching-based algorithm for FPGA channel segmentation design," in IEEE Trans. Computer-Aided Design, Vol. 20, No. 6, pp. 784--791, June 2001.
- G.-M. Wu, J.-M. Lin, and Y.-W. Chang, ``Generic ILP-based approaches for time-multiplexed FPGA partitioning," in IEEE Trans. Computer-Aided Design, Vol. 20, No. 10, pp. 1266--1274, October 2001.
- H. Fang, Y.-L. Wu, and Y.-W. Chang, "Comments on "Generic universal switch blocks"," in IEEE Trans. on Computers (TC), vol. 51, no.1, pp. 93--95, 2002.
- G.-M. Wu, J.-M. Lin, and Y.-W. Chang, ``Performance-driven placement for dynamically reconfigurable FPGAs," in ACM Trans. on Design Automation of Electronic Systems, Vol. 7, No. 4, pp. 628--642, October 2002.
- J.-M. Lin, H.-L. Lin, and Y.-W. Chang, ``Arbitrarily shaped rectilinear module placement using the transitive closure graph representation," in IEEE Trans. VLSI Systems, Vol. 10, No. 6, pp. 886--901, December 2002.
- Y.-W. Chang, K. Zhu, D. F. Wong, G.-M. Wu, and C. K. Wong, ``Analysis of FPGA/FPIC switch modules,'' in ACM Trans. on Design Automation of Electronic Systems, Vol. 8, No. 1, pp. 11-37, January 2003.
- G.-M. Wu, Y.-C. Chang, and Y.-W. Chang, ``Rectilinear block placement using B*-trees," ACM Trans. on Design Automation of Electronic Systems, Vol. 8., No. 2, pp. 188--202, April 2003. (Best Paper Nominee)
- J.-M. Lin, Y.-W. Chang, and S.-P. Lin, "Corner sequence: A P-admissible floorplan representation with a worst-case linear-time packing scheme," IEEE Trans. VLSI Systems, Vol. 11, No. 4, pp. 679--686, August 2003.
- T.-C. Chen, S.-R. Pan, and Y.-W. Chang, ``Timing modeling and optimization under the transmission line model," IEEE Trans. VLSI Systems, Vol. 12, No. 1, pp. 28--41, January 2004.
- Y.-W. Chang and S.-P. Lin, "MR: A New Framework for Multilevel Full-Chip Routing," IEEE Trans. Computer-Aided Design, Vol. 23, No. 5, pp. 793--800, May 2004.
- H.-R. Jiang, Y.-W. Chang, J.-Y. Jou, K.-Y. Chao, ``Simultaneous Floorplanning and Buffer Block Planning," in IEEE Trans. Computer-Aided Design, Vol. 23, No. 5, pp. 694--703, May 2004.
- J.-M. Lin and Y.-W. Chang, ``TCG-S: Orthogonal Coupling of P*-admissible Representations for General Floorplans," IEEE Trans. Computer-Aided Design, Vol. 23, No. 6, pp. 968--980, June 2004.
- J.-M. Lin and Y.-W. Chang, ``TCG: A transitive closure graph based representation for general floorplans," in IEEE Trans. VLSI Systems, Vol. 13, No. 2, pp. 288--292, February 2005.
- T.-Y. Ho, Y.-W. Chang, S.-J. Chen, and D. T. Lee, "Crosstalk-and performance-driven multilevel full-chip routing," in IEEE Trans. Computer-Aided Design, Vol. 24, No. 6, pp. 869--878, June 2005.
- H.-R. Jiang, S.-R., Pan, Y.-W. Chang, and J.-Y. Jou, ``Reliable Crosstalk-driven interconnect optimization," in ACM Trans. on Design Automation of Electronic Systems, Vol. 11, No. 1, January 2006.
- T.-C. Chen and Y.-W. Chang, ``Modern Floorplanning Based on B*-trees and Fast Simulated Annealing," in IEEE Trans. Computer-Aided Design, Vol. 25, No. 4, pp. 637--650, April 2006.
- S.-W. Tu, J.-Y. Jou, and Y.-W. Chang, ``RLC coupling-aware simulation and on-chip bus encoding for delay reduction," in IEEE Trans. Computer-Aided Design, Vol. 25, No. 10, pp. 2258--2264, October 2006.
- K. S.-M. Li, C.-C. Su, Y.-W. Chang, C.-L. Lee, and J. E Chen, ``P1500 Standard Compatible Interconnect Diagnosis for Delay and Crosstalk Faults, in IEEE Trans. Computer-Aided Design, Vol. 25, No. 11, pp. 2513--2525, November 2006.
- C.-W. Liu and Y.-W. Chang, "Power/ground network and floorplan co-synthesis for fast design convergence," in IEEE Trans. Computer-Aided Design, Vol. 26, No. 4, pp. 693--704, April 2007.
- B.-Y. Su, Y.-W. Chang, and J. Hu, ``An exact jumper insertion algorithm for antenna violation avoidance/fixing considering routing obstacles," in IEEE Trans. Computer-Aided Design, Vol. 26, No. 4, pp. 719--734, April 2007.
- T.-C. Chen and Y.-W. Chang, "Multilevel full-chip gridless routing with applications to optical proximity correction," in IEEE Trans. Computer-Aided Design, Vol. 26, No. 6, pp. 1041--1053, June 2007.
- P.-H. Yu, C.-L. Yang, and Y.-W. Chang, ``Placement of defect-tolerant digital microfluidic biochips using the T-tree formulation," ACM Journal of Emerging Technologies in Computing Systems, July 2007.
- H.-C. Lee, Y.-W. Chang, and H. Yang, ``MB*-tree: A multilevel floorplanner for large-scale building-module design," in IEEE Trans. Computer-Aided Design, Vol. 26, No. 8, pp. 1430--1444, August 2007.
- J.-W. Fang, I.-J. Lin, Y.-W. Chang, and J.-H. Wang, " A network-flow based RDL routing algorithm for flip chip design," in IEEE Trans. Computer-Aided Design, Vol. 26, No. 8, pp. pp. 1417--1429, August 2007.
- K. S.-M. Li, C.-L. Lee, Y.-W. Chang, C.-C. Su, and J. E Chen, ``Multilevel full-chip routing with testability and yield enhancement", in IEEE Trans. Computer-Aided Design, Vol. 26, No. 9, pp. 1625--1636, September 2007.
- P.-H. Yu, C.-L. Yang, and Y.-W. Chang, ``Temporal floorplanning using the three-dimensional transitive closure sub-graph," accepted and to appear in ACM Trans. Design Automation of Electronic Systems, 2007.
- B.-Y. Su and Y.-W. Chang, ``An optimal jumper insertion algorithm for antenna avoidance/fixing ," to appear in IEEE Trans. Computer-Aided Design, Vol. 26, No. 10, October 2007.
- T.-C. Chen, Y.-W. Chang, and S.-C. Lin, "A new multilevel framework for large-scale interconnect-driven floorplanning," accepted and to appear in IEEE Trans. Computer-Aided Design, 2007. (TCAD #2615)
- H.-Y. Chen, M.-F. Chiang, Y.-W. Chang, L. Chen, and B. Han, "Full-chip gridless routing considering double-via insertion," in minor revision, IEEE Trans. Computer-Aided Design. (TCAD #3664)
- T.-C. Chen, Y.-L. Chuang, and Y.-W. Chang, ``Effective wire models for X-architecture placement ," in revision, IEEE Trans. Computer-Aided Design. (TCAD #3813)
- C.-W. Lin, S.-Y. Chen, C.-F. Li, Y.-W. Chang, and C.-L. Yang, ``Obstacle-avoiding rectilinear Steiner tree construction based on spanning graphs ," in minor revision, IEEE Trans. Computer-Aided Design. (TCAD #3834)
- T.-Y. Ho, C.-F. Chang, Y.-W. Chang, and S.-J. Chen, ``Multilevel full-chip routing for the X-based architecture," in minor revision, IEEE Trans. Computer-Aided Design. (TCAD #2996)
- T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang, "NTUplace3: An analytical placer for large-scale mixed-size designs with preplaced blocks and density constraints," in revision, IEEE Trans. Computer-Aided Design.
- P.-H. Yu, C.-L. Yang, and Y.-W. Chang, ``T-trees: A Tree-Based Representation for Temporal Floorplanning," in revision, IEEE Trans. Computer-Aided Design. (TCAD #2405/#3087)
- Y.-W. Chang, ``A binary-tree modeling of non-slicing floorplans," in revision, IEEE Trans. Computer-Aided Design. (TCAD #836) An Efficient Graph-Based Algorithm for ESD Current Path Analysis
- Y.-W. Chang, D. F. Wong, and C. K. Wong, ``A graph-theoretic sufficient condition for FPGA/FPIC switch-module routability,'' in revision, ACM Trans. Design Automation of Electronic Systems.
- C.-Y. Chang, H.-R. Jiang, and Y.-W. Chang, "Formulae for performance optimization with applications to interconnect-driven floorplanning," in revision, IEEE Trans. Computer-Aided Design.
- Other Journal Papers:
- J.-M. Lin, H.-E. Yi, and Y.-W. Chang, "Module placement with boundary constraints using B*-trees," in IEE Proceedings--Circuits, Devices and Systems, Vol. 149, No. 4, pp. 251--256, August 2002. (EI/SCI)
- Y.-M. Lee, C.-P. Chen, Y.-W. Chang, and D.-F. Wong, "Simultaneous buffer-sizing and wire-sizing for clock trees based on Lagrangian relaxation," VLSI Design, Vol. 15, No. 3, pp. 587--594, November 2002 (invited paper). (EI/SCI)
- S.-W. Tu, W.-Z. Shen, Y.-W. Chang, T.-C. Chen, and J.-Y. Jou, ``Inductance modeling for on-chip interconnects," in International Journal of Analog Integrated Circuits and Signal Processing, Vol. 35, No. 1, pp. 65--78, April 2003 (invited paper). (EI/SCI)
- G.-M. Wu, M. Shyu, and Y.-W. Chang, "Universal switch blocks for three-dimensional FPGA design," in IEE Proceedings---Circuits, Devices, and Systems, Vol. 151, No. 1, pp. 49-57, February 2004. (EI/SCI)
- G.-M. Wu, C.-T. M. Chao, and Y.-W. Chang, ``A clustering and probability based partitioning algorithm for time-multiplexed FPGAs," in Integration: The VLSI Journal, Vol. 38, Issue 2, pp. 245-265, December 2004 (EI/SCI).
- T.-Y. Ho, Y.-W. Chang, and S.-J. Chen, Multilevel Routing with Jumper Insertion for Antenna Avoidance, Integration: The VLSI Journal, Volume 39, Issue 4, pp. 420--432, July 2006 (EI/SCI).
- Books and Book Chapters:
- M. Shyu, M.-H. Shieh, Y.-T. Chang, W.-H. Shiue, and Y.-W. Chang, The Practical Xilinx Designer Lab Book (translation in Chinese), Chuan-Hwa Science & Technology Book Co., 480 pages, Nov. 1998.
- Y.-W. Chang, D. F. Wong, and C. K. Wong, ``Programmable logic arrays'' in Encyclopedia of Electrical and Electronics Engineering (John G. Webster, Editor), John Wiley & Sons, Vol. 17, pp. 334-348, 1999 (invited article).
- Y.-W. Chang, T.-C. Chen, and H.-Y. Chen, "Physical Design for System-On-a-Chip" in Essential Issues in SOC Design (Y.-L. Lin, Editor), Springer, pp. 311-403, 2006 (invited article).
- F. Y. Young, C.-K. Koh, and Y.-W. Chang, ``Buffer planning'' in Physical Design Handbook (C. Alpert, S. Sapatnekar, and Dinesh D. Mehta, Editors), CRC Press, 2007 (invited article).
- T.-C. Chen and Y.-W. Chang, ``Packing floorplan representation'' in Physical Design Handbook (C. Alpert, S. Sapatnekar, and Dinesh D. Mehta, Editors), CRC Press, 2007 (invited article).
- T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang, "NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs," (G.-J. Nam and J. Cong, Editors), 2007 (invited article).
- T.-Y. Ho, Y.-W. Chang, and S.-J. Chen, Full-chip Nanometer Routing Techniques, Springer, 2007.
- L.-T. Wang, Y.-W. Chang, and K.-T. Cheng (editors), Electronic Design Automation: Synthesis, Verification, and Testing, Elsevier/Morgan Kaufmann, 2008. (850 pages)
- ACM/IEEE Conference Papers:
- K. Zhu, D. F. Wong, and Y.-W. Chang, ``Switch module design with application to two-dimensional segmentation design,'' Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 480--485, Santa Clara, CA, Nov. 1993.
- Y.-W. Chang, S. Thakur, K. Zhu, and D. F. Wong, `` A new global routing algorithm for FPGAs,'' Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 380--385, San Jose, CA, Nov. 1994.
- Y.-W. Chang, D. F. Wong, and C. K. Wong, ``FPGA global routing based on a new congestion metric,'' Proceedings of IEEE International Conference on Computer Design (ICCD), pp. 372--378, Austin, TX, Oct. 1995. (Best Paper Award.)
- Y.-W. Chang, D. F. Wong, and C. K. Wong, ``Design and analysis of FPGA/FPIC switch modules,'' Proceedings of IEEE International Conference on Computer Design (ICCD), pp. 394--401, Austin, TX, Oct. 1995.
- Y.-W. Chang, D. F. Wong, and C. K. Wong, ``Universal switch-module design for symmetrical-array-based FPGAs,'' Proceedings of ACM International Symposium on Field Programmable Gate Arrays (FPGA), pp. 80--86, Monterey, CA, February 1996.
(Received the highest score.) See an implementation report for our universal switch modules from Dept. of EECS, Univ. of California at Berkeley. - Y.-W. Chang, D. F. Wong, K. Zhu, and C. K. Wong, ``On a new timing-driven routing tree problem,'' Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), pp. IV: 420--423, Atlanta, GA, May 1996.
- C.-P. Chen, Y.-W. Chang, and D. F. Wong, ``Fast performance-driven optimization for buffered clock trees based on Lagrangian relaxation,'' Proceedings of 33rd ACM/IEEE Design Automation Conference (DAC), pp. 405--408, Las Vegas, NV, June 1996.
- Y.-W. Chang, D. F. Wong, and C. K. Wong, ``A graph-theoretic sufficient condition for FPGA/FPIC switch-module routability,'' Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), vol. III, pp. 1572--1575, Hong Kong, June 1997.
- G.-M. Wu and Y.-W. Chang, "Switch-matrix design and routing for FPDs," Proceedings of ACM International Symposium on Physical Design (ISPD), pp. 158-163, Monterey, CA, April 1998.
- G.-M. Wu and Y.-W. Chang, "Maximally routable switch matrices for FPD design," Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS-98), VI: 131--134, Monterey, CA, May 1998.
- K. Zhu, Y.-W. Chang, and D. F. Wong, "Timing-driven routing for symmetrical-array-based FPGAs," Proceedings of IEEE International Conference on Computer Design (ICCD-98), pp. 628--633, Austin, TX, October 1998.
- Y.-W. Chang, J.-M. Lin, and D. F. Wong, "Graph matching-based algorithms for FPGA segmentation design," Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-98), pp. 34-39, Santa Clara, Nov. 1998.
- G.-M. Wu, M. Shyu, and Y.-W. Chang, ``Universal switch blocks for three-dimensional FPGA design,'' presented at 1999 ACM International Symposium on Field Programmable Gate Arrays (FPGA-99) (poster), Monterey, CA, February 1999.
This work was cited by the article FPGA'99: Advanced processes unleash architectural ideas in the EE Times weekly, February 23, 1999. - H.-R. Jiang, J.-Y. Jou, and Y.-W. Chang, ``Noise-constrained performance optimization by gate and wire sizing based on Lagrangian relaxation,'' in Proc. of ACM/IEEE Design Automation Conference (DAC-99), pp. 90-95, New Orleans, LA, June 1999.
- M. Shyu, Y.-D. Chang, G.-M. Wu, and Y.-W. Chang, ``Generic universal switch-block architectures and their interactions with routing,'' in Proc. of IEEE International Conference on Computer Design (ICCD-99), pp. 311-314, Austin, TX, Oct. 1999.
- C.-T. M. Chao, G.-M. Wu, H.-R. Jiang, and Y.-W. Chang, ``A clustering and probability based partitioning algorithm for time-multiplexed FPGAs," in Proc. of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-99), pp. 364-368, Santa Clara, CA, Nov. 1999.
- H.-R. Jiang, S.-R., Pan, Y.-W. Chang, and J.-Y. Jou, ``Reliable crosstalk-driven interconnect optimization," in Proc. of ACM International Symposium on Physical Design (ISPD-2000), pp. 128-133, San Diego, CA, Apr. 2000.
- Y.-C. Chang, Y.-W. Chang, G.-M. Wu, and S.-W. Wu, ``B*-trees: A new representation for non-slicing floorplans," in Proc. of ACM/IEEE Design Automation Conference (DAC-2000), pp. 458-463, LA, CA, June 2000. (Best Paper Nominee)
- Y.-W. Chang and Y.-T. Chang, ``An architecture-driven metric for simultaneous placement and routing for FPGAs," in Proc. of ACM/IEEE Design Automation Conference (DAC-2000), pp. 567-572, LA, CA, June 2000.
- G.-M. Wu, Y.-C. Chang, and Y.-W. Chang, ``Rectilinear block placement using B*-trees," in Proc. of IEEE International Conference on Computer Design (ICCD-00), pp. 351-356, Austin, TX, Oct. 2000.
- S.-R. Pan and Y.-W. Chang, ``Crosstalk-constrained Performance Optimization by Using Wire Sizing and Perturbation," in Proc. of IEEE International Conference on Computer Design (ICCD-00), pp. 581-584, Austin, TX, Oct. 2000.
- J.-M. Lin and Y.-W. Chang, ``TCG: A transitive closure graph based representation for non-slicing floorplans," in Proc. of ACM/IEEE Design Automation Conference (DAC-2001), pp. 764--769, Las Vegas, NV, June 2001.
- T.-C. Chen, S.-R. Pan, and Y.-W. Chang, ``Performance optimization by wire and buffer sizing under the transmission line model," in Proc. of IEEE International Conference on Computer Design (ICCD-01), pp. 192--197, Austin, TX, Nov. 2001.
- G.-M. Wu, J.-M. Lin, M. C.-T. Chao, and Y.-W. Chang, ``Generic ILP-based approaches for dynamically reconfigurable FPGA partitioning ," in Proc. of IEEE International Conference on Computer Design (ICCD-01), pp. 335--340, Austin, TX, Nov. 2001. (Best Paper Nominee)
- G.-M. Wu and Y.-W. Chang, ``An Algorithm for Dynamically Reconfigurable FPGA Placement," in Proc. of IEEE International Conference on Computer Design (ICCD-01), pp. 501--504, Austin, TX, Nov. 2001.
- C.-Y. Chang, H.-R. Jiang, and Y.-W. Chang, "Formulae for Performance Optimization and Their Applications to Interconnect-Driven Floorplanning," in 2002 IEEE International Symposium on Quality of Electronic Design (ISQED 2002), pp. 523--528, San Jose, CA, March 2002.
- J.-M. Lin, H.-L. Lin, and Y.-W. Chang, ``Arbitrary Convex and Concave Rectilinear Module Packing Using TCG," in Proc. of ACM/IEEE Design Automation and Test in Europe (DATE-2002), pp. 69--75, Paris, France, March 2002.
- S.-W. Tu, W.-Z. Shen, Y.-W. Chang, and T.-C. Chen, ``Inductance modeling for on-chip interconnects," in Proceedings of the IEEE Symposium on Circuits and Systems (ISCAS-2002), vol. 3, pp. 787--790, Pheonix, AZ, May 2002.
- J.-M. Lin and Y.-W. Chang, ``TCG-S: Orthogonal Coupling of P*-admissible Representations for General Floorplans," in Proc. of ACM/IEEE Design Automation Conference (DAC-2002), pp. 842--847, New Orleans, June 2002.
- S.-P. Lin and Y.-W. Chang, "A novel framework for multilevel routing considering routability and performance," in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2002), pp. 44--50, San Jose, Nov. 2002. (Best Paper Nominee)
- S.-M. Li, Y.-H. Cherng, and Y.-W. Chang, ``Noise-aware buffer planning for interconnect-driven floorplanning," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2003), pp. 423--426, Kitakyushu, Japan, January. 2003.
- H.-R. Jiang, Y.-W. Chang, J.-Y. Jou, and K.-Y. Chao, ``Simultaneous Floorplanning and Buffer Block Planning," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2003), pp. 431--434, Kitakyushu, Japan, January. 2003.
- J.-M. Lin, S.-R. Pan, and Y.-W. Chang, ``Graph Matching-Based Algorithms for Array-Based FPGA Segmentation Design and Routing," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2003), pp. 851--854, Kitakyushu, Japan, January. 2003.
- H.-C. Lee, Y.-W. Chang, J.-M. Hsu, and H. Yang, ``Multilevel floorplanning/placement for large-scale modules using B*-trees," in Proc. of ACM/IEEE Design Automation Conference (DAC-2003), pp. 812--817, Anaheim, CA, June 2003.
- T.-Y. Ho, Y.-W. Chang, S.-J. Chen, and D. T. Lee, "A fast crosstalk- and performance-driven multilevel routing system," in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2003), pp. 382--387, San Jose, Nov. 2003.
- Y.-H. Cheng and Y.-W. Chang, ``Integrating buffer planning with floorplanning for simultaneous multi-objective Optimization," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2004), pp. 624--627, Yokohama, Japan, January 2004.
- S.-W. Tu, J.-Y. Jou, and Y.-W. Chang, "Layout techniques for for on-chip interconnect inductance reduction," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2004), pp. 269-273, Yokohama, Japan, January 2004.
- P.-H. Yu, C.-L.Yang, Y.-W. Chang, and H.-L. Chen, "Temporal floorplanning using 3D-subTCG," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2004), pp. 725--730, Yokohama, Japan, January 2004. (Best Paper Nominee)
- T.-Y. Ho, Y.-W. Chang, and S.-J. Chen, "Multilevel routing with antenna avoidance," in Proceedings of ACM International Symposium on Physical Design (ISPD-2004), pp. 34--40, Phoenix, Arizona, April 2004.
- S.-W. Tu, J.-Y. Jou, and Y.-W. Chang, "RLC effects on worst-case switching patterns for on-chip buses," in Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS-2004), Vol. 2, pp. 945--948, Vancouver, Canada, May 2004.
- S.-W. Wu and Y.-W. Chang, ``Efficient power/ground network analysis for power integrity driven design methodology," in Proc. of ACM/IEEE Design Automation Conference (DAC-2004), pp. 177--180, San Diego, CA, June 2004.
- T.-Y. Ho, Y.-W. Chang, and S.-J. Chen, "Multilevel routing with jumper insertion for antenna avoidance," in Proceedings of IEEE International SOC Conference (SOC-2004), pp. 63--66, Santa Clara, California, September 2004.
- M.-C. Wu and Y.-W. Chang, "Placement with Alignment and Performance Constraints Using the B*-tree representation," in Proceedings of IEEE International Conference on Computer Design (ICCD-2004), pp. 568--571, San Jose, CA, September 2004.
- P.-H. Yu, C.-L.Yang and Y.-W. Chang, "Temporal floorplanning using the T-tree formulation," in Proceedings of IEEE/ACM International Conference on computer-Aided Design (ICCAD-2004), pp. 300--305, San Jose, CA, November 2004.
- J.-M. Hsu and Y.-W. Chang, "A reusable methodology for non-slicing floorplanning," in Proceedings of IEEE Asia and Pacific Conference on Circuits and Systems (APCCAS-2004), Tainan, Taiwan, December 2004.
- T.-C. Chen and Y.-W. Chang, "Multilevel gridless routing considering optical proximity effects," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2005), pp. 1160--1163, Shanghai, China, January 2005.
- J.-Y. Wuu, T.-C. Chen, and Y.-W. Chang, "SoC test scheduling using the B*-tree based flooprlanning technique," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2005), pp. 1188--1191, Shanghai, China, January 2005.
- G.-M. Wu, J.-M. Lin, Y.-W. Chang, and R.-H. Chuang, "Placement with symmetry constraints for analog layout design," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2005), pp. 1135--1138, Shanghai, China, January 2005.
- T.-C. Chen and Y.-W. Chang, "Modern floorplanning based on fast simulated annealing," in Proceedings of ACM International Symposium on Physical Design (ISPD-2005), pp. 104--112, San Francisco, CA, April 2005.
- T.-C. Chen, T.-C. Hsu, Z.-W. Jiang, and Y.-W. Chang, "NTUplace: a ratio partitioning based placement algorithm for large-scale mixed-size designs," in Proceedings of ACM International Symposium on Physical Design (ISPD-2005), pp. 236--238, San Francisco, CA, April 2005.
- S.-M Lee, C.-W. Lee, Y.-W. Chang, C.-C. Su, and J.-Y. Chen, "Multilevel full-chip routing with testability and yield enhancement," in Proceedings of ACM International Workshop on System Level Interconnect Prediction (SLIP-2005), pp. 29--36, San Francisco, CA, April 2005.
- S.-L. Wang and Y.-W. Chang, "Delay modelling for buffered RLC/RLY trees," in Proceedings of The 1st IEEE-TSA VLSI Design Automation and Test Conference (VLSI-DAT-2005), Hsinchu, Taiwan, April 2005.
- S.-W. Tu, J.-Y. Jou, and Y.-W. Chang, "RLC coupling-aware simulation for on-chip buses and their encoding for delay reduction," in Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS-2005), pp. 4134--4137, Kobe, Japan, May 2005.
- B.-Y. Su and Y.-W. Chang, ``An optimal jumper insertion algorithm for antenna effect avoidance/fixing ," in Proc. of ACM/IEEE Design Automation Conference (DAC-2005), pp. 325--328, Anaheim, CA, June 2005.
- T.-Y. Ho, C.-F. Chang, Y.-W. Chang, and S.-J. Chen, ``Multilevel full-chip routing for the X-based architecture," in Proc. of ACM/IEEE Design Automation Conference (DAC-2005), pp. 597--602, Anaheim, CA, June 2005. (Best Paper Nominee)
- Y.-W. Wu, P.-H. Yuh, C.-L. Yang, and Y.-W. Chang, "Joint exploration of architectural and physical design spaces with thermal consideration," in Proceedings of IEEE/ACM International Symposium on Low Power Electronic Design (ISLPED-05), pp. 123--126, August 2005.
- C.-S. Shih, C.-L. Yang, M.-K. Ku, T.-W. Kuo, S.-Y. Chien, Y.-W. Chang, L.-G. Chen, "Reconfigurable Platform for Content Science Research," in Proceedings of the 11th IEEE International Conference on RTCSA, pp. 481--488, Hong Kong, China, 2005.
- T.-C. Chen, Y.-W. Chang, and C.-C. Lin, "IMF: Interconnect-driven multilevel floorplanning for large-scale building-module designs," in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2005), pp. 159--164, San Jose, Nov. 2005.
- J.-W. Fang, I.-J. Lin, P.-H. Yuh, Y.-W. Chang, and J.-H. Wang, "A routing algorithm for flip chip design," in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2005), pp. 753--758, San Jose, Nov. 2005.
- C.-Y. Peng, W.-C. Chao, Y.-W. Chang, and J.-H. Wang, "Simultaneous block and I/O buffer floorplanning for flip-chip design," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2006), pp. 213--218, Yokohama, Japan, January 2006.
- K. S.-M. Li, Y.-W. Chang, C.-C. Su, C.-L. Lee, and J. E. Chen, "P1500 based interconnect diagnosis for delay and crosstalk faults" in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2006), pp. 366--371, Yokohama, Japan, January 2006.
- T.-C. Chen, Y.-W. Chang, and S.-C. Lin, "A novel framework for multilevel full-chip gridless routing," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2006), pp. 636--641, Yokohama, Japan, January 2006.
- C.-W. Liu and Y.-W. Chang, "Floorplan and power/ground network co-synthesis for fast design convergence," to appear in Proceedings of ACM International Symposium on Physical Design (ISPD-2006), San Jose, CA, April 2006.
- B.-Y. Su, Y.-W. Chang, and J. Hu, ``An optimal jumper insertion algorithm for antenna effect avoidance/fixing on general routing trees with obstacles," to appear in Proceedings of ACM International Symposium on Physical Design (ISPD-2006), San Jose, CA, April 2006.
- T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang, "NTUplace2: a hybrid placer using partitioning and analytical techniques," in Proceedings of ACM/IEEE International Symposium on Physical Design (ISPD-2006), pp. 215--217, San Jose, CA, April 2006. (Won the 3rd place in the placement contest; #1 in wirelength cost, #2 in overall quality [less than 1% away from the #1], #3 in overall combined cost, quality + CPU time [less than 2% away from the #1])
- Y.-W. Lin and Y.-W. Chang, "Thermal-driven interconnect optimization by gate and wire sizing," in Proceedings of The 2nd IEEE-TSA VLSI Design Automation and Test Conference (VLSI-DAT-2006), pp. 151--154, Hsinchu, Taiwan, April 2006.
- C.-Y. Lai, S.-K. Jeng, Y.-W. Chang, and C.-C. Tsai, "Surface integral inductance extraction for general interconnect structures," in Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS-2006), Kos, Greece, May 2006.
- H.-Y. Chen, M.-F. Chiang, Y.-W. Chang, L. Chen, and B. Han, "Novel full-chip gridless routing considering double-via insertion," in Proceedings of ACM/IEEE Design Automation Conference (DAC-2006), pp. 755--760, San Francisco, CA, July 2006.
- P-H. Yuh, C.-L. Yang, and Y.-W. Chang, "Placement of digital microfluidic biochips using the T-tree formulation," in Proceedings of ACM/IEEE Design Automation Conference (DAC-2006), pp. 931--934, San Francisco, CA, July 2006.
- T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang, "A high quality analytical placer considering preplaced blocks and density constraint," in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2006), pp. 187--192, San Jose, Nov. 2006. (Received the highest score in the partitioning/floorplanning/placement track)
- W.-P. Lee, H.-Y. Liu, and Y.-W. Chang, "Voltage island aware floorplanning for power and timing optimization," in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2006), pp. 389--394, San Jose, Nov. 2006.
- H.-Y. Liu, C.-W. Lin, S.-J. Chou, W.-T. Tu, Y.-W. Chang, and S.-Y. Kuo, "Current path analysis for electrostatic discharge protection," in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2006), pp. 510--515, San Jose, Nov. 2006.
- Z.-W. Jiang and Y.-W. Chang, "An optimal simultaneous diode/jumper insertion algorithm for antenna fixing," in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2006), pp. 669--674, San Jose, Nov. 2006.
- C.-W. Lin, M.-C. Tsai, K.-Y. Lee, T.-C. Chen, T.-C. Wang, and Y.-W. Chang, "Recent research and emerging challenges in physical design for manufacturability/reliability," in Proceedings of ACM/IEEE Asia South Pacific Design Automation Conference (ASP-DAC-2007), pp. 238--243, Yokohama, Japan, January 2007. (invited paper)
- I.-J. Lin, T.-Y. Lin, and Y.-W. Chang, ``Statistical circuit optimization considering device and interconnect process variations," to appear in Proceedings of ACM International Workshop on System Level Interconnect Prediction (SLIP-2007), Austin, TX, March 2007.
- T.-C. Chen, Y.-L. Chuang, and Y.-W. Chang, "X-architecture placement based on effective wire models," in Proceedings of ACM International Symposium on Physical Design (ISPD-2007), pp. 87--94, Austin, TX, March 2007. (Best Paper Nominee; received the highest score)
- C.-W. Lin, S.-Y. Chen, C.-F. Li, Y.-W. Chang, and C.-L. Yang, ``Efficient obstacle-avoiding rectilinear Steiner tree construction," in Proceedings of ACM International Symposium on Physical Design (ISPD-2007), pp. 127--134, Austin, TX, March 2007. (Best Paper Nominee)
- Z.-W. Jiang, H.-C. Chen, T.-C. Chen, and Y.-W. Chang, "Challenges and solutions in modern VLSI placement," in Proceedings of The 3rd IEEE-TSA VLSI Design Automation and Test Conference (VLSI-DAT-2007), pp. 111--115, Hsinchu, Taiwan, April 2007. (invited paper)
- J.-W. Fang, C.-H. Hsu, and Y.-W. Chang, "An integer linear programming algorithm for flip-chip routing," to appear in Proceedings of ACM/IEEE Design Automation Conference (DAC-2007), San Diego, CA, June 2007. (Best Paper Nominee; received the highest score in the beyond-die track)
- T.-C. Chen, P.-H. Yuh, Y.-W. Chang, F.-J. Liu, and D. Liu, "MP-trees: a packing-based macro placement algorithm for mixed-size designs," to appear in Proceedings of ACM/IEEE Design Automation Conference (DAC-2007), San Diego, CA, June 2007. (received the 2nd highest score out of 52 papers in the physical design and manufacturability track)
- H.-Y. Liu, W.-P. Lee, and Y.-W. Chang, "A provably good approximation algorithm for power optimization using multiple supply voltages," to appear in Proceedings of ACM/IEEE Design Automation Conference (DAC-2007), San Diego, CA, June 2007.
- C.-F. Li, P.-H. Yuh, C.-L. Yang, and Y.-W. Chang, "Post-placement leakage optimization for partially dynamically reconfigurable FPGAs," to appear in Proceedings of IEEE/ACM International Symposium on Low Power Electronic Design (ISLPED-07), August 2007.
- W.-P. Lee, H.-Y. Liu, and Y.-W. Chang, "An ILP algorithm for post-floorplanning voltage-island generation, to appear in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2007), San Jose, Nov. 2007.
- Y.-P. Chen, J.-W. Fang, and Y.-W. Chang, "ECO timing optimization using spare cells and technology remapping, to appear in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2007), San Jose, Nov. 2007.
- I.-J. Lin and Y.-W. Chang, "An efficient algorithm for statistical circuit optimization using Lagrangian relaxation, to appear in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2007), San Jose, Nov. 2007.
- C.-W. Lin. S.-L. Huang, K.-C. Hsu, M.-X. Lee, and Y.-W. Chang, "Efficient multi-layer obstacle-avoiding rectilinear Steiner tree construction, to appear in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2007), San Jose, Nov. 2007.
- H.-Y. Chen, S.-J. Chou, S.-L. Wang, and Y.-W. Chang, "Novel wire density driven full-chip routing for CMP variation control, to appear in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2007), San Jose, Nov. 2007. (Best Paper Nominee)
- P.-H. Yu, C.-L. Yang, and Y.-W. Chang, "BioRoute: A network flow based routing algorithm for digital microfluidic biochips, to appear in Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD-2007), San Jose, Nov. 2007.
- Other Conference Papers:
- Y.-D. Chang, G.-M. Wu, and Y.-W. Chang, "Can FPD switch matrices be universal?," The 8th VLSI Design/CAD Symposium, pp. 253-256, Nangtou, Taiwan, Aug. 1997.
- Y.-D. Chang, Y.-W. Chang, and M. Shyu, "Design and analysis of universal switch modules for hierarchical FPGAs," in Proceedings of the 9th VLSI Design/CAD Symposium, Nangtou, Taiwan, Aug. 1998.
- Y.-W. Chang and J.-M. Lin, "Channel segmentation design for FPGAs," in Proceedings of the 9th VLSI Design/CAD Symposium, Nangtou, Taiwan, Aug. 1998.
- J.-M. Lin, S.-R. Pan, and Y.-W. Chang, ``A timing-driven matching-based algorithm for array-based FPGA routing," in Proceedings of the 10th VLSI Design/CAD Symposium, Nangtou, Taiwan, Aug. 1999.
- H.-R. Jiang, S.-R. Pan, Y.-W. Chang, and J.-Y. Jou, ``Reliable crosstalk-driven interconnect optimization in the deep submicron technology," in Proceedings of the 10th VLSI Design/CAD Symposium, Nangtou, Taiwan, Aug. 1999. (Received the 2nd highest score out of the 101 papers and the highest score in the CAD track; score = 3.67 based on 4.0.)
- G.-M. Wu, J.-M. Lin, M. C.-T. Chao, and Y.-W. Chang, ``Generic ILP-based approaches for time-multiplexed FPGA partitioning," in Proceedings of The 11th VLSI Design/CAD Symposium, Pingdong, Taiwan, Aug. 2000.
- G.-M. Wu and Y.-W. Chang, ``Precedence-constrained placement for dynamically reconfigurable FPGAs," in Proceedings of The 11th VLSI Design/CAD Symposium, Pingdong, Taiwan, Aug. 2000.
- S.-R. Pan and Y.-W. Chang, ``Performance optimization by wire/buffer sizing under the transmission line model," in Proceedings of The 11th VLSI Design/CAD Symposium, Pingdong, Taiwan, Aug. 2000.
- C.-Y. Chang, Y.-W. Chang, and I. H.-R. Jiang, ``Simultaneous buffer-insertion/-sizing and wire-sizing formulae with applications to interconnect-driven floorplanning," in Proceedings of The 11th VLSI Design/CAD Symposium, Pingdong, Taiwan, Aug. 2000. (Received Best Student Paper Award; two out of 125 papers were selected.)
- S.-M. Li, Y.-H. Cherng, and Y.-W. Chang, ``Buffer insertion for noise-aware interconnect-driven floorplanning," in Proceedings of The 12th VLSI Design/CAD Symposium, Hsinchu, Taiwan, Aug. 2001.
- J.-M. Lin, S.-P. Lin, and Y.-W. Chang, ``A P-admissible non-slicing floorplan representation with a worst-case linear-time packing scheme," in Proceedings of The 12th VLSI Design/CAD Symposium, Hsinchu, Taiwan, Aug. 2001. (Received the highest score out of 152 papers.)
- S.-C. Lee, J.-M. Hsu, and Y.-W. Chang, ``Multilevel large-scale module placement/floorplanning using B*-trees," in Proceedings of The 12th VLSI Design/CAD Symposium, Hsinchu, Taiwan, Aug. 2001.
- S.-W. Tu, W.-Z. Shen, Y.-W. Chang, and T.-C. Chen, ``Inductance modeling for on-chip interconnects," in Proceedings of The 12th VLSI Design/CAD Symposium, Hsinchu, Taiwan, Aug. 2001.
- Y.-H. Cheng, Y.-W. Chang and R.-H. Chuang, ``Integrating Buffer Planning with Floorplanning for Simultaneous Area, Timing, Noise, and Congestion Optimization," in Proceedings of The 13th VLSI Design/CAD Symposium, Taitung, Taiwan, Aug. 2002. (Received the highest score out of 182 papers; score = 4.0 based on 4.0.)
- S.-P. Lin and Y.-W. Chang, ``A performance-driven multilevel router," in Proceedings of The 13th VLSI Design/CAD Symposium, Taitung, Taiwan, Aug. 2002. (Received the 2nd highest score out of 182 papers; score = 3.5 based on 4.0.)
- H.-E. Yi, Y.-W. Chang, and M.-C. Wu, ``A B*-tree Based Placer for Boundary Modules," in Proceedings of The 13th VLSI Design/CAD Symposium, Taitung, Taiwan, Aug. 2002.
- Y.-W. Chang, L.-G. Chen, C.-W. Jen, and M.-C. Lan, ``The VLSI Circuits and Systems Education Program in Taiwan," to appear in Proceedings of 2003 International Conference on Engineering Education, Valencia, Spain, July 2003.
- S.-W. Tu, J.-Y. Jou, and Y.-W. Chang, "Layout Techniques for Minimizing On-Chip Interconnect Inductance," The 14th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2003.
- M.-C. Wu and Y.-W. Chang, "Placement with an Optimal Evaluation Scheme for Alignment and Performance Constraints Using B*-trees," The 14th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2003.
- T.-Y. Ho, Y.-W. Chang, and S.-J. Chen, "A fast crosstalk-driven multilevel routing system," The 14th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2003.
- S.-L. Wang and Y.-W. Chang, "Accurate Delay Formulae for Buffered RLC Trees," The 14th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2003.
- T.-C. Chen and Y.-W. Chang, "Multilevel gridless routing," The 15th VLSI Design/CAD Symposium, Pin-Tung, Taiwan, Aug. 2004.
- S.-W. Tu, Y.-W. Chang, and J.-Y. Jou, "RLC circuit simulation and bus encoding for delay reduction," The 15th VLSI Design/CAD Symposium, Pin-Tung, Taiwan, Aug. 2004.
- T.-C. Chen and Y.-W. Chang, "Fixed-outline floorplanning using the B*-tree representation," The 15th VLSI Design/CAD Symposium, Pin-Tung, Taiwan, Aug. 2004.
- C.-Y. Lai, S.-K. Jeng, and Y.-W. Chang, "Surface integral inductance extraction for general interconnect structures," The 15th VLSI Design/CAD Symposium, Pin-Tung, Taiwan, Aug. 2004.
- T.-C. Chen and Y.-W. Chang, "Full-chip gridless routing using a novel multilevel framework," The 16th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2005.
- K. S.-M. Li, C.-L. Lee, Y.-W. Chang, C.-C. Su, and J. E. Chen, "Multilevel full-chip routing with testability and yield enhancement," The 16th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2005.
- J.-W. Fang, I.-J. Lin, and Y.-W. Chang, "An RDL router for flip-chip design," The 16th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2005.
- C.-F. Chang, T.-Y. Ho, and Y.-W. Chang, "XRoute: a multilevel routing system for the X-based architecture," The 16th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2005.
- T.-C. Chen and Y.-W. Chang, "A new multilevel framework for large-scale interconnect-driven floorplanning," The 16th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2005.
- K. S.-M. Li, C.-L. Lee, Y.-W. Chang, C.-C. Su, and J. E. Chen, "P1500 based interconnect delay and crosstalk fault diagnosis," The 16th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2005.
- T.-C. Chen, P.-H. Yuh, Y.-W. Chang, F.-J. Huang, and D. Liu, "A Macro Placer Based on a New Packing Representation," The 17th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2006.
- T.-C. Chen, Z.-W. Jiang, T.-C. Hsu, H.-C. Chen, and Y.-W. Chang, "A Novel Analytical Mixed-Size Placement Algorithm Considering Preplaced Blocks," The 17th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2006.
- W.-P. Lee, H.-Y. Liu, and Y.-W. Chang, "Timing-Constrained Voltage Island Assignment and Floorplanning for Power Optimization," The 17th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2006.
- T.-Y. Ling, I-J. Lin, and Y.-W. Chang, "A Statistical Circuit Optimization Algorithm under Thermal and Timing Constraints," The 17th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2006.
- S.-J. Chou, C.-H. Hsu, J.-H. Jiang, and Y.-W. Chang, "Statistical Timing-Yield Optimization via Latch Substitution," The 17th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2006.
- P.-H. Yuh, C.-L. Yang, and Y.-W. Chang, "BioRoute: A network-flow based routing algorithm for digital microfluidic biochips," The 18th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2007. (Best Paper Award; Received the highest score in the EDA category; the only year awarding best papers after 2000)
- T.-C. Chen, G.-W. Liao, and Y.-W. Chang, "Lithography-aware routing with predictive OPC formulae," The 18th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2007.
- H.-C. Chen, Y.-L. Chuang, and Y.-W. Chang, "A high quality transitive-closure-graph-based macro placer," The 18th VLSI Design/CAD Symposium, Hua-Lien, Taiwan, Aug. 2007.
- Technical Reports:
- Y.-W. Chang, D. F. Wong, and C. K. Wong, ``Universal switch modules for FPGA design,'' Dept. of Computer Sciences, University of Texas at Austin, TR95-27, August 1995.
- Y.-W. Chang, K. Zhu, D. F. Wong, and C. K. Wong, ``Analysis of FPGA/FPIC switch modules,'' Dept. of Computer Sciences, University of Texas at Austin, TR96-32, September 1996.
- Y.-W. Chang, H.-R. Jiang, and J.-Y. Jou, ``Lagrangian relaxation for post-layout optimization for SoC design,'' Engineering Science & Technology Bulletin, National Science Council, pp. 130-133, September 2001.
- Dissertation:
- Other Articles:
- Y.-W. Chang, "Ansel Adams--Master of the Straight Photography (in Chinese)," Light & Shadows, No. 6, June 1985.
- Y.-W. Chang, "Introduction to photography (in Chinese)," Light & Shadows, No. 13, April 1987.
- Y.-W. Chang, "Thoughts on the meanings of images (in Chinese)," Light & Shadows, No. 17, May 1988.